Skip to content

Reimplement OpenBabel::OBRandom as a wrapper of std::mt19937_64 #386

Reimplement OpenBabel::OBRandom as a wrapper of std::mt19937_64

Reimplement OpenBabel::OBRandom as a wrapper of std::mt19937_64 #386

Triggered via pull request October 24, 2023 00:34
Status Success
Total duration 11m 18s
Artifacts 1

build_bindings.yml

on: pull_request
Matrix: build
Fit to window
Zoom out
Zoom in

Annotations

1 warning
Linux SWIG All Bindings
The following actions uses node12 which is deprecated and will be forced to run on node16: actions/checkout@v2, actions/upload-artifact@v2-preview. For more info: https://github.blog/changelog/2023-06-13-github-actions-all-actions-will-run-on-node16-instead-of-node12-by-default/

Artifacts

Produced during runtime
Name Size
openbabel.tar.bz2 Expired
28.7 MB