Skip to content

Commit

Permalink
Update paths to tmp dir
Browse files Browse the repository at this point in the history
  • Loading branch information
sdiamantakis committed Jan 18, 2023
1 parent 036d017 commit 7e3ac72
Showing 1 changed file with 4 additions and 3 deletions.
7 changes: 4 additions & 3 deletions scripts/acediff.pl
Original file line number Diff line number Diff line change
Expand Up @@ -34,9 +34,10 @@
# use the time and the process ID to make a unique file extension
my $time = time();
my $pid = "$$";
my $outfile1 = "/tmp/acediff1.$pid.$time.new";
my $outfile2 = "/tmp/acediff2.$pid.$time.new";
my $tmp = "/tmp/acediff.$pid.$time.tmp";
my $tmpDir= $ENV {'WB_SCRATCH'};
my $outfile1 = "/$tmpDir/acediff1.$pid.$time.new";
my $outfile2 = "/$tmpDir/acediff2.$pid.$time.new";
my $tmp = "/$tmpDir/acediff.$pid.$time.tmp";


if( $store ) {
Expand Down

0 comments on commit 7e3ac72

Please sign in to comment.