Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

[Bug] GHDL reports incomplete sensitivity list when rising_edge is enclosed in parenthesis #2555

Open
pidgeon777 opened this issue Dec 7, 2023 · 1 comment

Comments

@pidgeon777
Copy link

This code returns a warning:

PROCESS_p: process(CLK)
begin
  if (rising_edge(CLK)) then
	sig <= INPUT_P;
  end if;
end process;

Warning message:

incomplete sensitivity list, signal "INPUT_P" is missing

but if I remove the parenthesis enclosing rising_edge, the warning disappears.

Context

  • OS: Windows 10 Pro
  • GHDL version:
GHDL 4.0.0-dev (tarball) [Dunoon edition]
 Compiled with GNAT Version: 13.2.0
 static elaboration, mcode code generator
Written by Tristan Gingold.

Copyright (C) 2003 - 2023 Tristan Gingold.
GHDL is free software, covered by the GNU General Public License.  There is NO
warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
@pidgeon777 pidgeon777 changed the title GHDL reports incomplete sensitivity list when rising_edge is enclosed in parenthesis [Bug] GHDL reports incomplete sensitivity list when rising_edge is enclosed in parenthesis Dec 7, 2023
@tgingold
Copy link
Member

tgingold commented Dec 7, 2023

Do you have a full reproducer (including the options you have used) ?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants