Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Synthesis Using Quartus Prime #22

Open
waleedjalilfarooqui opened this issue May 10, 2024 · 1 comment
Open

Synthesis Using Quartus Prime #22

waleedjalilfarooqui opened this issue May 10, 2024 · 1 comment

Comments

@waleedjalilfarooqui
Copy link

How can I synthesize this using Quartus Prime ( windows). I have tried Adding the project and Running the files , but all i get are analysis error
Note : files are added as it is in project.
for Example :
Error (10170): Verilog HDL syntax error at scheduler.sv(18) near text: ")"; expecting an identifier. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number.
Error (10112): Ignored design unit "scheduler" at scheduler.sv(16) due to previous errors
Error (10644): Verilog HDL error at gpu.sv(170): this block requires a name
Error (10170): Verilog HDL syntax error at gpu.sv(193) near text: ")"; expecting ".", or an operand. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number.
Error (10112): Ignored design unit "gpu" at gpu.sv(10) due to previous errors
Error (10170): Verilog HDL syntax error at fetcher.sv(27) near text: ")"; expecting a direction. Check for and fix any syntax errors that appear immediately before or at the specified keyword. The Intel FPGA Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number.
Error (10112): Ignored design unit "fetcher" at fetcher.sv(7) due to previous errors

@adviyer
Copy link

adviyer commented May 12, 2024

I think the synthesiser didn't like the extraneous comma while passing in the parameter to the scheduler module

module scheduler #(
    parameter THREADS_PER_BLOCK = 4,
)

Removing it should fix the error I feel

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

2 participants